Skip to main content

Idaho Microfabrication Lab

Idaho Microfabrication Lab (IML)

Lab Location

Boise State University
Boise, Idaho

Staff

Pete Miranda
Director
pmiranda@boisestate.edu
(208) 426-5713

Travis Gabel
Technical Support Engineer
travisgabel@boisestate.edu
(208) 426-4646

Expertise & Research

The IML consists of a 900 square foot Class 1000 clean room, a 1500 square foot process lab, and a 900 square foot metrology lab. The IML is equipped to fabricate microelectronic devices using various thin film deposition techniques, chemical processing, photolithography, and plasma etching. Characterization of many different types of materials can also be accomplished along with access to the Boise State Surface Science Lab and the Boise State Center for Materials Characterization.

Contracting Work

Work in this lab is contracted through the iLabs portal. You can learn more about iLabs by clicking here or contacting the lab director.

IML Home Page

AssetMfr and ModelFieldApplication
Industrial Inkjet PrinterFuji Film DimatixAdditive Manufacturing, Materials, Deposition High resolution deposition of fluidic materials over a varying materials, thickness and location. Deposition of biological fluids including cell patterning, DNA arrays and proteomics allowing features down to 20 microns.
Industrial Inkjet PrinterNscrypt 150-3Dn-HPAdditive ManufacturingHigh resolution printer that provides micro-dispensing, 3D printing, laser micro machining, sintering, curing or heating, pick and place, micro-milling, UV curing, mapping and vision. inks, epoxies, solders, conductive pastes and dielectric paste as a short list of materials. Applications include micro-electronic packaging, resistive devices, heater coils, printed antennas, printed electronics, ceramic structures, heterogeneous mixtures.
Benchtop Electronics PrinterOptomec Aerosol Jet (AJ) 200Additive ManufacturingEnables printing of interconnects on both 2D and 3D substrates on devices up to 200mm x 200mm with an accuracy of 25 microns.
Pulsed Light Electronics PrinterXenon S2100 Flash Sintering SystemAdditive ManufacturingEvaluate the sintering characteristics of UV light curable nano inks in both research and low volume printing environments.
Thin Film EllipsometerJ.A. Woollam M-2000 Spectroscopic EllipsometerSemiconductor Characterization and MetrologyMeasuerment of film thickness and refractive index of thin films supporting up to 200 mm wafer size.
Thin Film Thickness Measurment SystemNanometrics NanoSpec 212Semiconductor Characterization and MetrologyMeasures thickness of thin films of semiconductors.
Stylus ProfilometerBruker Dektax XT-ASemiconductor Characterization and Metrology3D mapping of semiconductor devices and materials.
Optical ProfilerWyko / Veeco NT1100Semiconductor Characterization and MetrologyLarge scale non- contact surface metrology for MEMS, thick films, optics, ceramics & advanced materials for nano and microscale devices.
Sputtering MachineAJA International Orion 5Materials DepositionPhysical vapor deposition system with RF and DC target sputtering capability.   100mm tooling, heated substrate, load lock, film thickness monitor, substrate biasing.
Benchtop SputtererSputter Sciences CrC-150Materials DepositionDeposits various metal films uniformly on a targets up to 2 inches wide and wafers up to 150 mm in diameter.
Thermal EvaporatorCHA 600Materials DepositionEvaporate thin films up to 1500 nanometers thick. Can accommodate up to three 8? wafers simultaneously and up to three different layers may be evaporated in one run.
Plasma EtcherOxford PlasmaLab 180 ICP with Bosch Etch (fluorine-based)Materials Plasma EtchingEtching semiconductor materials using reactive ion, BOSCH, silicon nitride, silicon cryo, silicon dioxide and metal etching processes. Can accommodate varying wafer sizes.
Reactant Ion EtcherBranson Asher Dionex 3000Materials Plasma EtchingEtching semiconductor films and removing photo-resist using reactive ion oxygen-based etching processes.
Ion MillVeeco ME-1001Materials Plasma EtchingArgon plasma ion beam milling system for microelectronics patterning.  Water-cooled substrate holder up to 200mm wafer sizes.
Plasma Processing SystemPVA TePla Ion 40Materials Plasma EtchingBatch-mode plasma system for etch, strip, clean, and surface treatment of wafers up to 150mm.
Acid Station with RCA cleanJST ManufacturingSurface Chemistry/Wet ProcessingRCA cleaning and Piranha cleaning of silicon wafers.
General Base StationJST ManufacturingSurface Chemistry/Wet ProcessingProcessing of base chemistries in cleanroom including photoresist developer and stripper, potassium hydroxide, ammonium hydroxide and other chemicals.
Solvent Processing StationJST ManufacturingSurface Chemistry/Wet ProcessingUsed for processing solvent chemistries in the IML cleanroom. Equipped with a spin coat station and hot plate for soft back out of spun on materials.
Spin Rinse DryerSemiTool ST-460Surface Chemistry/Wet ProcessingProvides deionized water rinsing and nitrogen drying of silicon wafers and removal of surface contaminants on 100mm wafers. 
Contact AlignerQuintel Q-4000PhotolithographyUV light source patterning with contact or proximity printing.  Use with mask geometries of 5x5x90mil.  1 micron resolution.
Contact AlignerOAI 5000PhotolithographyProvides production scale mask alignment on semiconductor materials as successive layers are processed to 0.1 micron precision. Includes robot wafer transfer system.
Spin CoaterCEE Model 200X-F integrated with solvent processing station)PhotolithographyMay be used to apply photoresist and other coatings to semiconductor materials. This tool is incorporated into the JST solvent bench.
Thermal Oxidation/Diffusion FurnaceMiniBrute MB-80Semiconductor Thermal ProcessingProvides thermal oxidation (up to 1200C) for N-type dopant diffusion for wafers up to 100mm.
Modular Process Technology RTP-600s Rapid Thermal AnnealerModular Process Technology RTP-600s Rapid Thermal AnnealerSemiconductor Thermal ProcessingBenchtop rapid thermal processing system with multi-gas capabilities and support of wafers up to 6? in diameter. Can be used for implant activation, contact alloying and silicide formation. 
Blue Electric SV-57A Vacuum OvenBlue Electric SV-57A Vacuum OvenSemiconductor Thermal ProcessingVacuum capable oven used for various applications in semiconductor processing up to 150C for wafers up to 100mm
Environmental ChambersVariousSemiconductor Thermal ProcessingCan operate up to 300C in varying environments including vacuum.
Programmable Hot PlatesProgrammable Hot Plates (Integrated With Each of Three JST Chemical Processing Stations)Semiconductor Thermal ProcessingFacilitate drying of liquids on semiconductors at chemical processing stations. This tool is incorporated into the JST benches.
Wire Bonding SystemWestBond Model 7476Electronic PackagingManual bonding of aluminum or gold wires ranging from 18-50 micron in diameter to semiconductor devices.
Wedge Bonding SystemK&S Model 4526Electronic PackagingManual bonding of aluminum or gold wires ranging from 18-75 micron in diameter to semiconductor devices.
Wafer DicerADT982-6Electronic PackagingEnables sawing of semiconductor wafer into discrete devices.